Wat is zelf-uitgelijnd viervoudig patroon (SAQP) technologie?

March 28, 2024

Laatste bedrijfsnieuws over Wat is zelf-uitgelijnd viervoudig patroon (SAQP) technologie?

Multi-patterning is een techniek om lithografische beperkingen in chipproductie te overwinnen.Multi-patterning stelt chipfabrikanten in staat om IC-ontwerpen op 20 nanometer en lager af te beelden.

Over het algemeen heeft multi-patterning twee hoofdcategorieën: pitchsplitting en spacers.tussenstanders omvatten zelfgelijnd dubbelpatroon (SADP) en zelfgelijnd viervoudig patroon (SAQP)Zowel pitchsplitting als spacertechnieken kunnen zich uitstrekken tot achtvoudig patroon.

laatste bedrijfsnieuws over Wat is zelf-uitgelijnd viervoudig patroon (SAQP) technologie?  0

Het eerste type, pitchsplitting, wordt voornamelijk gebruikt in logica.dubbele patroonvorming verwijst bijna altijd naar het litho-etch-litho-etch-litho-etch (LELE) pitchsplittingprocesIn de fabricage van wafers vereist LELE twee onafhankelijke lithografie- en etseringsstappen om een enkele laag te definiëren. Volgens Sematech kan LELE de pitch met 30% verminderen.LELE kan duur zijn omdat het de processtappen in de lithografie verdubbelt.

Deze techniek scheidt aanvankelijk layouts die niet met één blootstelling kunnen worden afgedrukt in twee maskers met een lagere dichtheid.Dit vormt twee ruwe patronenDeze zijn gecombineerd en overlapt om fijnere afbeeldingen op de wafer mogelijk te maken.

LELE (d.w.z. dubbele patterning) stelt ontwerpers nieuwe layout-, fysieke verificatie- en debuggingvereisten.kleuren worden toegewezen aan maskerlagen op basis van de afstandsvereistenDe maskerslagen worden gesegmenteerd of ontleend in twee nieuwe lagen van de oorspronkelijke getekende lay-out.

Een belangrijke beslissing in de methodologie is of ontwerpers een "kleurloze" ontwerpstroom willen nastreven.selectie tussen verschillende ontbindingsoptiesNatuurlijk vereist elke ontwerpplanning compromissen.

Bij de 20-nanometer knooppunt gebruiken gieterijen verschillende dubbele patroonontwerpflows.Eén van de meest voorkomende stromen vereist eigenlijk niet dat het ontwerpteam de lagen in twee kleuren verdeeltIn sommige gevallen willen ontwerpers echter wellicht weten wat de kleurtoewijzing is. Hoewel dit redelijk klinkt, kan het zien van dubbele patronen kleuren de debugging efficiëntie verminderen.

Ondertussen moeten chipfabrikanten zich bij de 10nm-knoop misschien wenden tot een andere toonhoogte-splitsingstechniek: drievoudig patterning.LELELE lijkt op LELEIn de waferfabricage vereist LELELE drie onafhankelijke lithografie- en etseringsstappen om een enkele laag te definiëren.

In het ontwerp vereist triple patterning het afbreken van de oorspronkelijke laag in drie maskers. De vormen van de drie maskers combineren tijdens de productie om de uiteindelijke vorm te vormen.Drievoudig patroon lijkt van buitenaf onschuldigHet is een uitdaging om EDA-softwarealgoritmen te bouwen om automatisch lagen te ontbinden, te kleuren en te controleren met drievoudig patroon.Overtredingen van het drievoudige patroon kunnen erg complex zijn., en debuggen kan moeilijk zijn.

Ondertussen zijn de spacers de tweede belangrijkste categorie van multi-patterning.SADP/SAQP werd eerder gebruikt om NAND flash uit te breiden naar de 1xnm knooppunt en komt nu in het logisch veld.

SADP is een vorm van dubbel patterning.Het SADP-proces maakt gebruik van een lithografie stap samen met extra afzetting en etsen stappen om kenmerken te definiëren die vergelijkbaar zijn met spacersIn het SADP-proces is de eerste stap om mandrels op het substraat te vormen. Vervolgens bedekt een afzettingsschaal het patroon.het bovenste deel wordt chemisch mechanisch gepolijst (CMP).

SAQP is in wezen twee cycli van sidewall spacer dubbele patterning technologie.Eerst worden parallelle lijnen gevormd.Inmiddels zijn de metalen lagen in DRAM en logica-chips complexer en kunnen niet worden bereikt door middel van SADP/SAQP. Deze metalen lagen vereisen LELE.De ontwerpflexibiliteit van SADP/SAQP is eveneens lager dan die van LELE, terwijl LELE-technologieën via patterning nodig hebben.

SAQP staat voor Self-Aligned Quadruple Patterning.

Volgens de beschikbare informatie is Self-Aligned Quadruple Patterning (SAQP) de meest gebruikte techniek voor het patternvormen van kenmerken met toonhoogtes kleiner dan 38 nm,naar verwachting tot 19 nmHet integreert in wezen meerdere processtappen en is gebruikt bij het patroonvormen van FinFET- en 1X-DRAM-vinnen.het mogelijk maken dat lijnen die aanvankelijk 80 nm van elkaar verwijderd zijn, 20 nm van elkaar verwijderd worden (dat betekent dat de resolutie effectief 10 nm wordt bereikt)Dit is belangrijk omdat het de resolutie van alle massaproductie lithografie instrumenten, met inbegrip van EUV (die 13 nm resolutie bereikt) ver overtreft.

laatste bedrijfsnieuws over Wat is zelf-uitgelijnd viervoudig patroon (SAQP) technologie?  1

Het proces verdeelt natuurlijke kenmerken in drie groepen: kern, schil en rand (zie figuur 2).de grens vormt een raster dat ook moet worden gesegmenteerdHet SAQP-proces moet daarom worden afgesloten met een lithografie-stap, waarbij de eerder gedefinieerde schil en randfuncties worden gesneden of geknipt.kern en grens.

laatste bedrijfsnieuws over Wat is zelf-uitgelijnd viervoudig patroon (SAQP) technologie?  2

In een andere variant van de SAQP-processtroom (zie figuur 3) zijn de schilfuncties eigenlijk het resterende eerste afstandsmateriaal, terwijl de kern en de rand verschillende materialen zijn,ofwel het substraat ofwel het materiaal voor het vullen van gatenHet feit dat het om verschillende materialen gaat, betekent dat ze selectief kunnen worden gegraveerd.Dit biedt mogelijkheden om een aantal uitdagende patronen te bereiken.

laatste bedrijfsnieuws over Wat is zelf-uitgelijnd viervoudig patroon (SAQP) technologie?  3

Een bijzonder nuttige toepassing is de combinatie van minimale toonhoogte en 2x minimale toonhoogte functies.5Een bijzonder lastige combinatie is minimale toonhoogte lijnen met 2x minimale toonhoogte onderbrekingen (zie figuur 4, links).Het diffractiepatroon van de onderbrekingen is veel zwakker in vergelijking met dat van de lijnen zelf omdat ze een veel kleiner gebied bezettenDeze combinatie kan ook niet worden bevestigd met assist-functies omdat er geen ruimte is om ze in te voegen om minimale toonhoogtelijnen te bereiken.Aan de andere kant, door middel van selectief etsen, kunnen de maskerkenmerken door de tussenliggende lijnen gaan (zie figuur 4, rechts).Dit vereenvoudigt het snijden enorm en voorkomt mogelijke kantplaatsfouten die kunnen optreden bij het afscheidend snijden op twee plaatsen.

laatste bedrijfsnieuws over Wat is zelf-uitgelijnd viervoudig patroon (SAQP) technologie?  4

Voor selectief etsen zijn drie maskers nodig - één om de afzonderlijke A/B-regio's te definiëren, het tweede masker voor selectief etsen A en het derde masker voor selectief etsen B.selectief etsen (in combinatie met SAQP) maakt ook grotere overlappende toleranties en een minimum aantal maskers mogelijk, waardoor de combinatie van minimale lijnhoogte en onderbrekingen bij twee keer de minimale lijnhoogte mogelijk is, waardoor multi-patterning gemakkelijker te hanteren is.

Samenvattend omvatten alle zelfopgestelde multipatterningprocessen de volgende stappen:

  1. Ik druk mandrelsporen af.
  2. Groeiende zijwanden op de gedrukte mandrelpatronen.
  3. Ik verwijder de mandrelpatronen.
  4. Het ontwikkelen van de eindpatronen tussen de zijwanden.
  5. Het toevoegen van dielektrische blokken om de gewenste spits-op-spits-spacering in het einddoel te bereiken.
  6. Als we vooruitgang boeken naar meer geavanceerde technologische knooppunten, patroonvorming van de kritieke back-end-of-line (BEOL) metalen lagen met agressievere pitches, zoals 32 nanometer,wordt buitengewoon uitdagendIn deze BEOL-lagen worden doorgaans loopgraven gecreëerd, die vervolgens worden gevuld met metaal in de laatste metalliseringsstap.verticale blokkerende lagen loodrecht op de loopgraven worden toegevoegd, waardoor kleine metalen spits-op-spits-afstanden ontstaan.

    Binnen de industrie zijn verschillende opties overwogen voor het patternen van de meest agressieve BEOL-lagen en -blokken.Een optie is het combineren van onderdompeling lithografie met wat bekend staat als Metal Line Self-Aligned Quadruple Patterning (SAQP)Deze optie vereist echter drievoudige blokmaskers en een drievoudig lithografieproces, wat de kosten en de complexiteit van de voorgestelde oplossing vergroot.Een andere optie is om rechtstreeks gebruik te maken van Extreme Ultraviolet Lithography (EUVL) om de BEOL-metalen lagen in één blootstelling te patronenHoewel dit rechtstreekse EUVL-integratieproces eenvoudig en kosteneffectief is, is de nauwkeurigheid (zoals de vorm) en de variabiliteit van de patronen, evenals de vervaardiging van maskersworden verwacht zeer uitdagend te zijnVooral voor zeer kleine splitsingen van punt tot punt.